mercredi 29 mai 2019

Verifying PRBS 7 sequence using only 2 bits of the data

I have a 8 bit PRBS 7 Generator, I need to use only 2 bits from LSB from the generated PRBS 7 data, in my checker side to verify if the pattern is following prbs sequence or not.

There are Two Components; 1 PRBS Generator and 1 PRBS Checker

PRBS Generator is going to generate 8 bits of PRBS 7 Sequence data_gen[7:0];

PRBS CHECKER is going take data_gen[1:0] and check if the sequence follows prbs 7 or not.




Aucun commentaire:

Enregistrer un commentaire