lundi 19 août 2019

Inserting 2 random bits of error in data using Systemverilog

I have achieved flipping of 1 bit in data (to insert 1 bit of error). Now I wish to flip 2 random bits in the data and make sure these two bits are not the same. How can this be done in SystemVerilog?




Aucun commentaire:

Enregistrer un commentaire